Configuration database

HI,
will you help to understand the usage of config_object class extended from uvm_object ,which has all the parameter and virtual interface defined?
I have defined one integer (int counter) and set the value in the base_test_class (uvm_config_db#(int)::set(this,“*”,“counter”,10)) and this values is retrieved in the sequence class(using get method) which will be used for how many time randomization should happen.
Instead of doing so, I want to define these parameter in separate class (config_object) extended from uvm_object and now, I want to use set and get method for this, so that I can retrieve these values in the uvm_test class. can you help me how to do this?

In reply to bharatkumar:

Have you read the UVM Cookbook article on configuration?

In reply to cgales:

I read that one, but i couldn’t understand how to set individual parameter values and access it in the test class. can you please explain me?

In reply to bharatkumar:

There are code snippets in the article that show setting the config object values in the test. There is also an example at the end of the article which demonstrates the entire use model.