Config_db

How to identify whether setting parameters to UVM_CONFIG_DB have done properly?

In reply to SRIMAN:

If you want to see if a uvm_config_db#()::set is correctly done, you can trace the access to configuration database by adding +UVM_CONFIG_DB_TRACE in the command line.

You can also print the content of the database with the function :

uvm_config_db#()::dump();

In reply to SRIMAN:

The config_db does not check anything. You can even make etries to paths which are not existing.
The dump command might give you a huge anmount of entries if you do so.
But there are useful methods available:

this.print_config() prints the settings for 1 component
this.print_config(1) includes all children
this.print_config_with_audit printes the setters and getters
this. print_config_with_audit(1) includes all children
this.check_config_usage() prints calls to set without get

The last one is the interesting one, because you can idebtify the redundant settings.

Thank you