Check one register in each block using RAL

I need to check one register in a block ,

I coded as below

class x_sequnece extends uvm_sequence

uvm_reg blk_reg[$];

task x_sequence :: do_sequence()
 uvm_reg_block all_reg_blocks[$];

for (block[i])begin

uvm_reg_single_bit_bash_req bit_reg;

block[i].get_registers(blk_req)

bit_reg = uvm_reg_single_bit_bash_seq::type_id::create("bit_req");
bit_req.rg = blk_reg[0];
bit_reg.start()

endtask

Please let me know if this would work

In reply to kesav_abj:

This will not work for 2 reasons:
(1) a sequence needs a body task.
(2) you have to start your sequence on a sequencer.