Cannot open include file 'uvm_macros.svh'

I am not able to open any of the include files with a .svh format.
Evan the ‘uvm_macros.svh’. can not be opened.

  • cannot open include file ‘opamp_test_1.svh’.
  • cannot open include file 'opamp_data_packet.svh

In reply to Praseetha:

You are likely not telling your tool where to locate the appropriate files. Refer to your tool documentation or contact your vendor support team for additional assistance.