Can we extend seq_item or sequence in test class?

for any testcase, can we extend seq_item or sequence in test class to use some constraint for that particular testcase only ?

In reply to A_123:

You sure can. That is the whole point of the UVM factory.

In reply to dave_59:

Do you have any example or link for that kind of test?

In reply to A_123:

Almost every example of the UVM Factory does this.

https://verificationacademy.com/cookbook/sequences/overrides

You can also search for “UVM factory examples”