Can we inject error/fault in driver without seq_item indicating it?

I have a testbench, where in cs(chip_select) is generated in the uvm driver.

TB needs to send serial data to RTL and follows SPI interace protocol. UVM TB sends a fixed number of bytes of data to RTL(as per the requirement specification). Driver keeps track of number of bytes and generates cs(chip_select). And as part of error injection, I would like to send less or more number of bytes than the standard count. I can easily make sequence do so. How do i take care of it in Driver(for chip_select)?

There is no field on seq_item to indicate such error. Can I add one just for this purpose? it wont be used anywhere else. Just to indicate about the faulty frames/packets.

OR is there any better approach to do the same?

In reply to uvmsd:

Fields for generating error conditions are very common in sequence items. Any extra fields are ignored if not needed, such as in the scoreboard. Even the monitor can use the field to indicate if an error occurred.