Can I send parent sequence item and child sequence item at the same time to the Driver?

Hi.

I have one parent sequence item class and inherited one child class. parent sequence item has only A property, child class has only B Property.

If I send a parent sequence item and a child sequence item at the same time to the Driver in fork join, then do they meet in the Driver?


                                   |----------|  
Parent_transaction(A)------------> |          |
       |--child_transaction(B)---> | Monitor  |
                                   |----------|

Can I send parent sequence item and child sequence item at the same time to meet them in the Driver?

In reply to UVM_LOVE:

You are using the terms ‘parent’ and ‘child’ in a confusing manner. Please refer to this thread for an explanation of parent/child vs. base/extended terminology.

In UVM, a driver is typed to a specific sequence_item. It can operate on any sequence_item of that type, or a sequence_item that is extended from that type. However, if there are any additional elements within the extended class, the driver can’t access them since they aren’t part of the base class. In a typical UVM environment, a sequence_item is extended to add additional constraints, not functionality.

The driver requests sequence_items from the sequencer when it is ready to process the sequence_item. It is possible for the driver to request multiple sequence_items if desired, but you would have to code your driver for this behavior.