Can anyone help me to run it in Questa

This file is orginally run in VCS. Now I want to run it in Questa. But I have problem. The command window reminds me to that

UVM_FATAL - No components instantiated - missing test name

The link is all my files from a tutorial website.

Your text to link here…

waiting for answers.

Really Appreciate for people who will help me

In reply to leapoo:

Have you created a Makefile for Questa? Have you read the Questa User Manual on how to compile, elaborate and simulate a design? Have you read about the various command line options needed to run a UVM simulation?

A lot of work goes into writing the user manuals. You should read them.