Build_phase

Hi Everyone,
I have following doubts, It would be really helpful if anyone could please answer these:

  1. When we call build_phase in a component, say build_phase in ahb_env, does its invoke results to build of the ahb_env or the component below the ahb_env?
  2. Is build_phase is required in ahb_driver?

Regards

In reply to pankajpattel:

  1. The build phase is used to create the sub components i.e., ahb_env build phase is responsible to build its sub components like agents, SB etc.

  2. The virtual interface in driver & monitor should be connected to static interface in the top module This is done through configuration object, so to get the configuration object from the config data base we need build phase in the drive & monitor.