Automated Phase based starting of Sequence using config_db

I tried to start a sequence using config_db.My code snippet is
uvm_config_db#(uvm_object_wrapper)::set(this,“env.ahb_agent.ahb_seqr.main_phase”,“default_sequence”,ahb_seq);

and my sequence body is like
for(i=0;i<100;++i)
begin
req = ahb_sequence_item::type_id::create(“req”);
start_item(req);
—randomization—
finish_item(req);
end

But before execution of all my sequences UVM gets terminated.if i’m going with .start method it works fine.So can I able to raise and drop objection inside the sequence/objects or pre/post body??.what i want to do to execute all my 100 sequences?

In reply to ajithomas003:

Three things for your code:
(1) if you do not use sub-pahses of the run_phase, use run_phase intead of main_phase.
(2) It is not recommende to use the automatic start of a sequence using the default_sequence.
(3) Best place for implementing objections is the test class. Don’t implement objections in every place. This will cause confusion.