Auto_config

Hi,
I agree that using uvm_field_* macros decrease performance and hinders debug. My question is that how to use Auto configuration of Config DB without using a uvm_field_macro_* ? (set and no get)

Thanks in Adv

In reply to bl4ckp3rl :

There are more serious reasons to avoid field macros.
If you do not use them there is no automatic generation of the functions needed for the seq_item.
You have to implement them on your own.
Using a UVM Framework Generator it is doing this for you.
See here
https://www.doulos.com/knowhow/systemverilog/uvm/

In reply to chr_sue:

Agree , But while implementing an automatic config of config_fb ie with set and no get , i did feel that `uvm_field_int macro registration was mandatory. How can i implement Auto config of config_db without using a uvm_field_int ?

Thanks

In reply to bl4ckp3rl :

Nothing is mandatory in this field. And you should not rely on ‘automatic_config’.
Using the set/get pairwise is the recommended way of doing configurations.