Array of UVM Transactions

Hello, I want to implement an array of my transactions but I don’t know how to make it if I have 5 transactions for example. Can anyone give me an example of this issue?

Thanks

In reply to Mohammed Essam Abd El Samee:

The question is for what do you need this array. Is it only to store? Then you could use a uv_tlm_fifo.

In reply to chr_sue:

I want to use this array to run it and show it as a separate transaction contains many transaction objects which contain many data members.

In reply to Mohammed Essam Abd El Samee:

You don’t need such an array. Run the generation of your tansaction objects in a loop. This gives you what you want.

In reply to chr_sue:

I know this but, I want to know the mechanism of implementing an array of transactions.

In reply to Mohammed Essam Abd El Samee:

class transaction extends uvm_sequence_item;
`uvm_object_utils(transaction)
...
endtransaction


transaction tr[];

tr = new[5];
foreach(tr[ii]) tr[ii] = transaction::type_id::create("tr");