Add parent option when creating an uvm_object

Hi Forum.
In my base test class I create all configuration objects of the environment.
I see in some examples that verificators add the “this” pointer to the create method. for example.

 m_some_cfg = some_cfg::type_id::create("m_some_cfg", this);

I clearly understand why we need the “this” option in a uvm_component, but why we need it in an uvm_object?

In reply to shimonc:
The parent argument provides a context for instance based factory overrides. You have a choice of passing a uvm_component as the parent argument, or a string with the contxt argument.

When creating a component, the parent argument serves the dual purpose of setting the parent object handle and the context for the override.

Thanks, I understood.