Accessing Methods in Testbench Top from class in package based SV-UVM environment

Hi,

I am using package based approach for my SV -UVM testbench environment.
I have few models instantiated in my tb_top and it has various backdoor methods.
I want to use these backdoor methods from testcase as well as scoreboard for checking.
But I am not able to access top module hierarchically from testcase in the package based approach
Can you help me to suggest a solution for accessing the top from SV class

In reply to Alan1990:

https://verificationacademy.com/forums/downloads/updated-example-code-dvcon-paper-missing-link-testbench-dut-connection