The above function/task call is done with more arguments than needed

I am hitting this below error, Its not from my portion of the code, Also lof file doesn’t say anything much other than the below error message. I am hitting his during elob (If i am not wrong)

Any idea ?

Error-[TMAFTC] Too many arguments to function/task call

/accellera/uvm/1.2/src/base/uvm_registry.svh, 66 "my_base_test::new(name, parent)" The above function/task call is done with more arguments than needed.

Thanks in advance.

One of your factory registration macros (uvm_component_utils/uvm_object_utils) is incorrect. Make sure that the class name being registered matches the class that contains this call.

Also, double check that the number of arguments for new() matches the required number for a component or object.