Virtual interface resolution cannot find a matching instance of interface

In reply to baridude:

Eric,

I have this article on program blocks. Coincendently, there was this recent post as well on the VerificationGuild.

If you notice, all the OVM/UVM examples simply ignore them, which I take to mean the committee didn’t feel they were necessary either.

As for clocking blocks, there is one useful application for making it easier for the testebench to procedurally assign wires. I wrote about this in a recent DVCon paper.

Hello,
I am a new member facing the same virtual interface problem.
I was looking at the solution to this but i don’t actually get it when you say turn the -permit_unmatched_virtual_inf flag true.

How do I turn this flag true?

Note: I don’t have a parameterized virtual interface
: I don’t usually use tcl command. Let me know if typing some command help me solve this run time error
EX- class generator


Virtual intf i;

endclass

Thanks