Why only ##1 (single delay operator) used in the case of multiple clock sequences?

Hi,

My question is related to assertions.

Why ##1 is used when it comes to multiple clock sequences.
What happens if ##2 is used.

Please help me understand.
Thanks in advance.

In reply to sk7799:

Multiclocking is allowed after the ##0 or the |-> (same timing effect) and the ##1 or the |=> (same timing effect) See
https://photos.app.goo.gl/gmErFu2ThvZhJaPa8

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr
** SVA Handbook 4th Edition, 2016 ISBN 978-1518681448

  1. SVA Package: Dynamic and range delays and repeats SVA: Package for dynamic and range delays and repeats - SystemVerilog - Verification Academy
  2. Free books: Component Design by Example https://rb.gy/9tcbhl
    Real Chip Design and Verification Using Verilog and VHDL($3) Amazon.com
  3. Papers: