Hi,
a1 - EDA Playground
Why is this assertion not giving me a Assertion Failed message ?
If Req assert and Gnt does not assert we should get a failed message.
assert property (p1)
$display(“Assertion Passed at %t”,$time);
else
$display(“Assertion Failed at %t”,$time);
Thanks,
JeffD
You didn’t run the simulation long enough to see the failed assertions.
Change #200 to #1000, then you will see:
# Assertion Failed at 515
# Assertion Failed at 525
# Assertion Failed at 535
# Assertion Failed at 545
Thanks gsulliva.
Is there anyway to see the assertion in the Waveform in edaplayground just like QuestaSim
In reply to dvuvmsv:
No. gtkwave is based on VCD output, and that does not support dumping assertions.