What is difference between ignore bins and illegal bins

I m getting confused between ignore and illegal bins in functional coverage, both the bins exclude particular values.

In reply to arshi ali:

The illegal_bins will report error in simulation immediately when its bins hit.
The ignore_bins won’t show error in simulation.

In reply to arshi ali:

Your testbench should never hit illegal_bins. If it does, your testbench or design has a problem and all coverage is meaningless.

I would avoid using illegal_bins as a checker because unlike an assertion or a UVM error, there is no facility to control reporting of illegal_bin errors.

In reply to dave_59:

can illegal_bin terminate simulation and not just show an error?

In reply to mago1991:

Some tools might have ways of promoting an error to a fatal message.

This Mentor/Siemens EDA sponsored public forum is not for discussing tool specific usage or issues. Please read your tool’s user manual or contact your tool vendor directly for support.