Wait and wait_trigger

can anyone explain difference between wait() and wait_trigger() with example?

In reply to sh88:

wait
is a SystemVerilog keyword for a construct that blocks the current procedural process until the expression inside the parentheses is true.

I’ll assume
wait_trigger
is a method of the class uvm_event. That class is a wrapper a SystemVerilog named event.