Vlog 2110 error

hello,
i am working on binary search tree in SystemVerilog, but i face (vlog-2110) Illegal reference to non-variable “insert_op”. error please help me to solve this error.

In reply to MangeshVB:
I cannot see your code, so I have no idea.

type ‘verror 2110’ in the console window to get more information about this type of error.
It even provides an example.