Transition bin coverage

How to write transition bin coverage for repetitive sequence.

For example 1=>2=>3=>1=>2=>3=>1=>2=>3.

I wrote like below and got an error

bins test = ((3’b001 => 3’b010 => 3’b011)[*2:5] => 3’b000);

** Error: (vlog-13069)
near “=>”: syntax error, unexpected =>

In reply to divakar1691:

You can only use repetition on a value or range, not a sequence.
Transition coverage with covergroups has limited functionality. You might be better off using sequences with the cover directive.