Transition bin

Hi,

I would like to cover a 4 bit counter transitions like below:

single bin for transition from 0 to any value in the range [2:15]
single bin for transition from 1 to any value in the range [3:15]
single bin for transition from 2 to any value in the range [4:15]



single bin for transition from 12 to any value in the range [14:15]

How to use transition bins for the above scenario?

Thanks,
Dileep

In reply to Dileep:

coverpoint counter {
   bins S0 = (0 => [2:15]);
   bins S1 = (1 => [3:15]);
   bins S0 = (2 => [4:15]);
// ...
   bins S0 = (12 => [14:15]);
}