Timing Violation issue

Hi,

I was trying a GLS Simulation and NOTIFIER is causing “X” (timing simulation). However I don’t find any violations from timing perspective.

Below are the constraints:

   tsetup_posedge$D$CK = 76:76:76,
   thold_posedge$D$CK = -33:-33:-33,

   tsetup_negedge$D$CK = 79:79:79,
   thold_negedge$D$CK = -27:-27:-27,

$setuphold(posedge CK &&& RB_EQ_1_AN_SCN_EQ_0 == 1’b1, negedge D, tsetup_negedge$D$CK, thold_negedge$D$CK, NOTIFIER, d_CK, d_D);
$setuphold(posedge CK &&& RB_EQ_1_AN_SCN_EQ_0 == 1’b1, posedge D, tsetup_posedge$D$CK, thold_posedge$D$CK, NOTIFIER, d_CK, d_D);

The “X” appears when

  1. D has a posedge transition (stable after that) and CK has a posedge transition 101ns afterwards
  2. D has a negedge transition followed by CK has a posedge transition 114ns afterwards.

Both the above scenarios look to be fine for me,but the notifier is flagging violation, could someone help me figure out how the violation is being caused. (RB=1 & SCN=0)

Thanks in advance.