Tb connect to DUT, with modport based DUT

I have a DUT which has a declaration
“rip_if.target rip_target_if,” target is the modport name.
To connect and access this interface from tb, I declared the signals inside modport as logic in tb_intf - u_mover_if created a modport for same

In tb top, doing connections while instantation of DUT i.e .rip_target_if (u_mover_if.rip_mp)

It gives me error.
A named interface port should be connected to an interface of the same name (data_mover_tb_top).
.rip_target_if (u_mover_if.rip_mp)

Can someone say why I am getting this?
Also is there some other way I can connect and drive the interface inside DUT from my TB?

In reply to deepa.djdoll:

Your DUT is expecting an interface modport with the modport name ‘rip_if.target’. You are connecting an interface with a different modport name.

Your design should have the interface defined somewhere. You need to use this interface instead of creating a new one.

In reply to cgales:

Hello . I have a similar condition . How can I connect a different interface and modport to a DUT with a predefined interface . Is this possible ?