Syntax Error for import "DPI-C"

Hi,

I get this syntax error for:

import “DPI-C” function string getenv(input string env_name);

Error-[SE] Syntax error
Following verilog source has syntax error :
“Path to File”,
37: token is ‘"’
import “DPI-C” function string getenv(input string env_name);

I do not understand what the tool is complaining about. Has anyone come across this ?

Many thanks in advance.

Manu

In reply to Prakashmanu:

This is most likely a tool specific issue. Make sure the file is compiled as a SystemVerilog file.