SVA won't trigger on this glitch

Hello there,

I’m trying to capture this glitch on a signal at 0.308ms with SVA [1]. Neither $rise nor posedge is triggering on it.

How would your write a SVA which triggers on this glitch ?
[1]: screenshot

In reply to chitlesh:

What exactly are you trying to do?
Also, if you don’t use SVA, how would you code what you are trying to achieve with RTL SystemVerilog? That may then help you translate that into SVA. Ideas to consider: creation of events, latching the glitch, @sig (that has the glitch), ???
Ben SystemVerilog.us

In reply to ben@SystemVerilog.us:

@(posedge signal_name) should work. Can you share your code snippet? How you are trying to capture the glitch.

Try,
@(posedge signal_name) ##1 $fell(signal_name)