Super.new()

Why do you need to write super.new() as first line of constructor in extended
classes? When do you need to pass any arguments to this function - super.new()???

In reply to rakesh varikela:

You may want to look at the second session of my course on SystemVerilog OOP for UVM Verification

In reply to rakesh varikela:

The function new of the derived class will implicitly make a call to super.new() if you are not doing it. That’s why, if you want to call super.new on your own, it has to be done in the first itself. And calling super.new with some arguments is mandatory if the function new of the base class is defined with some arguments.

Hope this helps
Putta Satish