Req on then grant should be stable for 4 clock // reply

/* Original question: If a granted request remain asserted then the grant should be stable for 4clock cycles.  Request and grant has one clock cycle latency.  */
// Question can be interpreted in many ways.  Here are 2 interpretations. 
// 
// 1)  If new req then grnt stays on for 4 cycles 
 ap_reqgrnt1: assert property(@ (posedge clk) $rose(req) |-> ##1 grnt[*4] );  

// 2) If new req and req stay on asserted for 1 to 4 cycles, then grnt stays on for 4 cycles. 
 ap_reqgrnt2: assert property(@ (posedge clk) $rose(req) ##[1:4] req implies 
                                              ##1 grnt[*4] );

Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
** SVA Handbook 4th Edition, 2016 ISBN 978-1518681448

  1. SVA Package: Dynamic and range delays and repeats SVA: Package for dynamic and range delays and repeats | Verification Academy
  2. Free books: Component Design by Example FREE BOOK: Component Design by Example … A Step-by-Step Process Using VHDL with UART as Vehicle | Verification Academy
    Real Chip Design and Verification Using Verilog and VHDL($3) https://rb.gy/cwy7nb
  3. Papers:

Udemy courses by Srinivasan Venkataramanan (http://cvcblr.com/home.html)
https://www.udemy.com/course/sva-basic/
https://www.udemy.com/course/sv-pre-uvm/

In reply to ben@SystemVerilog.us:
Se my paper “SVA for statistical analysis of a weighted work-conserving prioritized round-robin arbiter.”
https://verificationacademy.com/forums/coverage/sva-statistical-analysis-weighted-work-conserving-prioritized-round-robin-arbiter.

There, I also address the assertion for req until grant for a prioritized arbiter.