Regarding Fork Join

Hello everyone!

We have 3 processes in a fork-join and after the execution of any 2 processes the fork should be disabled and we need to come out of the fork and we don’t have any control over simulation timings. How to implement this logic?

In reply to kiran_vlsi:

https://verificationacademy.com/forums/systemverilog/resume-simulation-when-any-2-threads-out-3-get-completed-within-fork-joinany.#reply-58079

In reply to dave_59:

Thank You.