Random assignment to queues in class

How to assign qu given in module to three different queues(q1, q2 ,q3) in given class randomly such that equal no. of elements of qu is assigned to q1, q2 and q3? Assigned values should be unique. I have taken 15 elements in qu so q1, q2 and q3 should have 5 unique elements here.

class Base;
  rand int q1[$], q2[$], q3[$];
  
endclass


module top;
  Base base = new();
  int qu[$] = {16,1,2,3,4,5,6,7,8,9,10,11,12,13,14};
    
endmodule

In reply to DhavalP:

module top;
  Base base = new();
  int qu[$] = {16,1,2,3,4,5,6,7,8,9,10,11,12,13,14};
  initial begin
    assert(base.randomize() with {
      q1.size() == qu.size()/3;
      q2.size() == qu.size()/3;
      q3.size() == qu.size()/3;
      unique {q1,q2,q3};
      foreach(qu[i]) qu[i] inside {q1,q2,q3};
    } );
    $display("%p",base);
  end
endmodule