Questa10.2c Issue regarding Assertion Recording in waveform file(wlf)

Hello,

Hope you have been doing good.

We have been facing one issue related assertion recording in waveform file (wlf) with questasim 10.2C. It has been running fine in questasim 10.1b and ever since we migrated to questasim 10.2c we have ran into this problem.

Part of the problem may be the vsim switch(/es) we have been using for 10.1b is(/are) no longer applicable for questasim 10.2c. To investigate further into this direction, we referred the questasim 10.2c user guide and we tried couple of things but nothing has been successful of whatever we tried so far:

Following is complete vsim command I would like to paste here for your reference:

vsim +LOGFILE=axi4_full_test_multi_fix_write_with_diff_id_ALL__RTL_20140418T112846.log +BLOCK=axi4_full +OVM_TESTNAME=axi4_full_test_multi_fix_write_with_diff_id +SECTION=ALL +ID= +SIM_TIME=25us +PRINT_SIM_ENV_OPTION=FALSE +VSIM_CMD_END -assertdebug -coverage -do \\n\ \ \ \ \ \ \ \ do\ /users1/nishtha/rci_ddr/svn_csa/csa/ip/axi/trunk/axi4_full/dv/env/tests/default_log.do;\ \\n\ \ \ \ \ \ \ \ do\ ;\ \\n\ \ \ \ \ \ \ \ do\ /users1/nishtha/rci_ddr/svn_csa/csa/ip/axi/trunk/axi4_full/dv/env/tests/default_test.do;\ \\n\ \ \ \ \ \ \ \ \ \\n\ \ \ \ \ \ \ \ \ \\n\ \ \ \ \ \ \ \ set\ StdArithNoWarnings\ 1;\ \ \\n\ \ \ \ \ \ \ \ when\ {\ /tb_top/rst_if/rst\ ==\ 0\ }\ {\ \\n\ \ \ \ \ \ \ \ set\ StdArithNoWarnings\ 0;\ \ \\n\ \ \ \ \ \ \ \ echo\ "StdArithWarnings\ Enabled";\ \\n\ \ \ \ \ \ \ \ }\ \\n\ \ \ \ \ \ \ \ \ \\n\ \ \ \ \ \ \ \ \ \\n\ \ \ \ \ \ \ \ run\ 10ns;\ \\n\ \ \ \ \ \ \ \ coverage\ clear;\ \\n\ \ \ \ \ \ \ \ run\ -all;\ \ coverage\ attribute\ -test\ axi4_full_test_multi_fix_write_with_diff_id_ALL__RTL_20140418T112846;\ coverage\ attribute\ -name\ QUESTASIMVERSION\ -value\ [vsimId];\ coverage\ attribute\ -name\ LOGSIZE\ -value\ [exec\ stat\ -c\ %s\ /users1/nishtha/log/axi4_full/axi4_full_test_multi_fix_write_with_diff_id_ALL__RTL_20140418T112846.log];\ coverage\ save\ /users1/nishtha/log/axi4_full/axi4_full_test_multi_fix_write_with_diff_id_ALL__RTL_20140418T112846.ucdb;\ quit\ -f;\ \\n\ \ \ \ \ \ \ \ -l /users1/nishtha/log/axi4_full/axi4_full_test_multi_fix_write_with_diff_id_ALL__RTL_20140418T112846.log -c -voptargs="+acc=a" -cvgbintstamp -noglitch -sv_seed random -wlf /users1/nishtha/log/axi4_full/axi4_full_test_multi_fix_write_with_diff_id_ALL__RTL_20140418T112846.wlf -vopt /users1/nishtha/work/axi4_full.tb_top

=================================================================================================================================

Also, we referred something below in questasim 10.2C manual for assertion recording and tried out but we ended up with error:

Reference: page 851 of Questa10.2c user manual :
" For example, a correct procedure would be the following:
vopt +acc=a top -o dbgver
vsim -assertdebug dbgver
atv log -enable /top/assert_0 /top/assert_1 /top/assert_2 "

For above example we tried to run vsim command with “atv log -enable /top/*” but It gave the below error:

** Error: (vish-3296) Unknown option ‘-enable’.

Requesting you to guide us to figure out what we have been missing here that causing this issue.

Attaching the screenshot of assertion property object in wlf file of simulation ran on questasim 10.2c. It is reporting an error of - No objects found matching ‘…’.

Thanks & Regards,
Miheer

It’s really hard for a human being to parse your single line command. I tried recreating your problem with the information given, and it works for me. You should contact Mentor support as they have more resources for tool support. This forum is mainly for methodology discussions.

Dave