Program block

Hi,
Came across a statement " Using non-blocking assignments within the program shall be an error " is it correct? What confuses me is that according to stratified event queues of SV Reactive region & Re-NBA are used for Non blocking assignments in program block.
Thanks

In reply to bl4ckp3rl :

This restriction was removed in the IEEE 1800-2009 LRM.

We do not recommend using program blocks.