Problem in casting and super

This is my following code :



class A ;
virtual task disp ();
$display(" This is class A ");
endtask
endclass

class B extends A ;
task disp ();
$display(" This is Extended class A ");
endtask
endclass

class C extends B;
task disp ();
$display(" This is Extended class B ");
super.disp;
endtask
endclass

program main ;
C ob3;
B ob2;
A ob1;

initial
begin
ob1 = new();

ob2 = new();

ob3=new();
ob3.disp();
end
endprogram


In this code I have the output as :
This is Extended class B
This is Extended class A

But I want that by invoking task disp through Class C object it will give me output as :
This is Extended class B
This is class A

So How could I achieve this ? Is this a possible scenario to have ?

In reply to Huzefa Halolwala:
You can do

class C extends B;
 task disp ();
  $display(" This is Extended class B ");
  A::disp();
 endtask
endclass