Printing messages when OVL assertion fires

I have a module containing ovl_never that fires after a counter gets to a certain value. I have included std_ovl_defines. I have defined OVL_VERILOG, OVL_ASSERT_ON, and OVL_SYNTHESIS. I can see the fire happening in simulation, but there is no error message being printed. What must I do to get the error message parameter defined when instantiating ovl_never to print out when the assertion fires?

In reply to cbarbour:

If you define OVL_SYNTHESIS, you are configuring the library to be compatible with synthesis tools. Since $display() statements are considered non-synthesizable, they are removed.

You should remove the OVL_SYNTHESIS define for simulation.

Also, the OVL libraries are typically provided as source code. You can look at the code to determine why you aren’t getting any printed messages.