Parametrized interfaces

I want to have a parametrized interface.
I thougth this was the right way to do it, but this gives me the error:
** Error: (vlog-13069) testbench.sv(12): near “(”: syntax error, unexpected ‘(’, expecting ‘)’.

link fur edaplayground: parametrized interface - EDA Playground


interface if_sys #(parameter int c_dat_bw) (
      input wire logic 	i_rst, i_clk
   );
   var logic                  io_en;
   var logic  [c_dat_bw-1:0]  io_data;
endinterface: if_sys

module top (
  if_sys (#.c_dat_bw(3))  my_interface,
  input a,b,
  output c
   );
  initial $display("-->> a message");
endmodule: top

thank you for your help

In reply to stefaniemcg:

The way you instantiate a parameterized interface is exactly the same way you instantiate a parameterized module.