Packet class

  1. can we write separate packet class for input signals and output signals ?
  2. how to end the simulation in system verilog ?

In reply to Gireesh:

  1. Yes.
  2. The $finish system task will end the simulation and exit the simulator, while $stop will just end the simulation.

In reply to sbellock:

thank you