Multidimensional array

In multi-dimensional array datatype should be a bit type only other than bit datatype it will not accept other datatypes why?can you explain anybody?

I think you are gravely mistaken. You should refer to sections 7.4 of 1800-2012 LRM.

On the contrary, if you have proof to an error from your tool, you should post it here.

kernalmode1
if you have proof to an error from your tool, you should post it here.[/quote]

yes i had proof and i checked in cadence and synopsys tool but it is showing error.please check it below link

In reply to Kapu Gopal:

I guess your phrasing led me to believe you were specifically referring to “bit” only. As the LRM states under packed arrays -

Packed arrays can be made of only the single bit data types (bit, logic, reg), enumerated types, and recursively other packed arrays and packed structures.

What is a byte? It really is bit [7:0] but signed. See the redundancy? Additionally, arrays can also be 4-state like logic and reg. These are particularly useful in modeling memories.

Unpacked arrays however can be of any datatype. So, this feature of mixed type arrays being limited to “single bit type (bit, logic, reg)” comes from the packed dimension.

In reply to kernalmode1:

Thanks I got it