Interface

Are interfaces synthesizable in systemverilog?

Affirmative, so long as you follow the synthesis tool’s rules for interfaces.

In reply to anvesh dangeti:

Fun Fact: SystemVerilog interfaces were used for synthesis in design long before people were using them in verification. https://www.eetimes.com/system-verilog-users-speak-out/