Input Skew

Why did SystemVerilog developers take default input skew as #1

In reply to Anirudh :

If you mean the default skew of a clocking block input variable, the default is #1step. This is a conceptual delay representing the global time precision—the smallest possible time step. It effectively means the input value at the end of the previous time step (which is equivalent to the value at the beginning of the current time step.