How to write assertions for clock frequencies

How to write assertions to clock frequencies of 1Ghz, 333Mhz, 250Mhz

im new to it, if anyone can help me on writing assertion for the mentioned clock frequencies.
thanks in advance.

In reply to Kirankumar V Hiremath:

This question was asked many times. You’ll find many results if you use a search.

Here is a link to one of them
https://verificationacademy.com/forums/systemverilog/checking-clock-period-using-system-verilog-assertion
Use realtime and $realtime instead of time and $time.
Adjust the period as needed.
Ben Cohen
http://www.systemverilog.us/ ben@systemverilog.us
For training, consulting, services: contact Home - My cvcblr
** SVA Handbook 4th Edition, 2016 ISBN 978-1518681448

  1. SVA Package: Dynamic and range delays and repeats SVA: Package for dynamic and range delays and repeats | Verification Academy
  2. Free books: Component Design by Example FREE BOOK: Component Design by Example … A Step-by-Step Process Using VHDL with UART as Vehicle | Verification Academy
    Real Chip Design and Verification Using Verilog and VHDL($3) https://rb.gy/cwy7nb
  3. Papers: