How to use variable delay in SVA

Normally we use this method
$rose(a) |=> $stable(a)[*20];

But how to use this by using variable delay
int width = 20;
$rose(a) |=> $stable(a)[*(width)];

In reply to kolliparapavankumar:

https://verificationacademy.com/forums/systemverilog/assertion-variable-declaration-sva-0