How to use struct and typedef keywords in SystemVerilog?

I need some clear explaination about the keywords

In reply to Sruti:

Any basic SystemVerilog introduction/tutorial will cover this. These constructs were taken directly from C/C++, so any basic tutorial from those language should cover that as well.

In reply to dave_59:
Thank you.