How many number of bins get created

bit [3:0] a, b, c;
covergroup cov @(posedge clk);
BC : coverpoint b+c;
aXb : cross a, BC;
endgroup

In the above code how many bins does BC coverpoint have ?

In reply to Santoshi Nallapati:

If you don’t specify explicit bins it will try to create a bin for each possible value up to the auto_bin_max setting (which is 64 by default). Since you are trying to cover a 4-bit expression, that is 16 possible values.

bit [4:0] b;
B: coverpoint b;
here B has got 16 bins from b[0]…b[15] and these bins will hit for respective values of b.

In same way can you please tell how bins are created for BC and when they will be hit.

In reply to Santoshi Nallapati:

The 16 possible values for result of b + c become the 16 bins for BC. (BC[0]…BC[15])

BC[0] gets hit when b is 0 and c is 0, or b is 15 and c is 1, or b is 1 and c is 15 …
BC[1] gets hit when b is 0 and c is 1, or b is 1 and c is 0, or …
BC[2] gets hit when b is 0 and c is 2, or b is 1 and c is 1, or b is 2 and c is 0, …

Each bin has 16 permutations of b and c that hit the same bin.

Does this help?

Thanks alot dave I understand now…