How to handle many enumerated datatypes in a package?

Hi,

In my ahb_agent_pkg, there are so many typedef enum declarated in the package.

`timescale 1ns/1ps      
                        
  package ahb_agent_pkg;

  typedef enum logic [2:0] {SINGLE, INCR, WRAP4, INCR4, WRAP8, INCR8, WRAP16, INCR16} ahb_burst_e;
  typedef enum logic [1:0] {OKAY, ERROR, RETRY, SPLIT} ahb_resp_e;
  ...
  typedef enum logic [1:0] {IDLE, BUSY, NONSEQ, SEQ} ahb_trans_e;

  `include "./ahb_item.sv"
  `include "./ahb_seq.sv"
   ...

and I use the enum types in interface as the below.

interface ahb_interface;
  import ahb_agent_pkg::ahb_burst_e;
  import ahb_agent_pkg::ahb_resp_e;
  ...
  import ahb_agent_pkg::ahb_trans_e;

  logic DATA;
  ...             

If I have 1000+ enumerate datatypes, is there another way to handle many enum types instead of declaring by import in interface ?

In reply to UVM_LOVE:

You can import all enumerated types using ‘import ahb_agent_pkg::*’

Is there a reason that this won’t work?

In reply to cgales:

In reply to UVM_LOVE:
You can import all enumerated types using ‘import ahb_agent_pkg::*’
Is there a reason that this won’t work?

There is no reason for that.
But I was wondering if there are some good approaches instead of using ahb_agent_pkg::~~.

In reply to UVM_LOVE:

Use the wildcard import

Import ahb_agent_pkg::*;

Using explicit name imports requires importing each enum type and all its labels

import ahb_agent_pkg::ahb_burst_e;
import ahb_agent_pkg::SINGLE;
import ahb_agent_pkg::INCR;
import ahb_agent_pkg::WRAP4;
...