How to dump the verilog generate block in fsdb format waveform when use questasim, and how to dump the fsdb with multi-dimensional array in it?

Hi,

I’m now use the questasim/10.2 to do the simulation and use the follow line to dummp fsdb format waveform,but it with two issue,
can you help me on it? thank you very much!


initial begin
  $fsdbDumpfile("./my_design.fsdb");
  $fsdbDumpvars(0, tb)
end

but it with below two issue:

1.fsdp dump report a Error:

Novas Create FSDB file ‘.my_design.fsdb’

Novas Begin traversing the scope(tb), layer(0).

Novas Warning: Unknown scope type: tb.my_design…wchannel_fifo.WRITE_INTO_FIFO[7] 722

Novas Warning: Unknown scope type: tb.my_design…wchannel_fifo.WRITE_INTO_FIFO[7] 722

** Error: (vsim-PLI-3486) acc_fetch_defname(): The object_handle parameter is not a handle to a module, primitive, or architecture instance. : …/tb/sv/tb.sv(3039)

Time: 0 ps Iteration: 0 Instance: /tb

RTL code of tb.my_design…wchannel_fifo.WRITE_INTO_FIFO


generate
genvar i;
for(i=0; i<FIFO_DEPTH; i=i+1) begin : WRITE_INTO_FIFO
... ...
... ...
end
endgenerate

  1. the fsdb file and not get multi-dimensional array signals

In reply to dfx9230:

This forum is not for tool related issues. Please contact your vendor support team for additional assistance.