How to compile `include compiler directive in Questasim 10.2c?

Hi,

I am having compilation issues for compiling `include. The error is

Cannot find `include file
The file is included in the file list.

Thanks in advance.

Regards,
Abhi

In reply to abhijosh:

This forum is not for tool specific help.

But for any compiler, any language, included files are not added to the file list.

Included files must be in the current working directory or added to a search path. For SystemVerilog, look for the +incdir switch.