Fork within loop with join none

Hello

I have a problem.
Currently i use the questasim 2022.1 version and the Code shown below Snippet

task a_model :: a_fsm ();
for (i=0; i < 8; i++) begin
fork
automatic int j = i;
c_fsm(j);
join_none
end
endtask:a_model

So from the above c_fsm is a another TASK with input j
So when i run this code i get an error as shown below
FATAL : (VSIM-12453) Trying to access a null handle or reference.
Time : xxxxxx fs Iteration: 16 Process : a_model/FORK#1240_2a9a7b0a905

But the strange part is that when i run the same code in 2021.4_1 version i do not see this issue. :O
Is there any other way we can resolved this issue ?

In reply to praneshece09:

This forum is not for tool related issues. Please contact your vendor support team for additional assistance.