Execution Time limit Exceed

Hi,

Your text to link here…

Above is a code in which I have tried to test a basic switch module that can send packets to port A and port B depending on the address.
My code(attached above) is error-free but when tried to run it on EDA playground it shows an “Execution time reached or reached maximum time” .Can anyone help me out with rectifying where I went wrong which led to infinite execution time?

Thank you

In reply to sai_pra99:

What is the initial value of your clock? Where is your reset generated?

In reply to sai_pra99:

I suggest that you try to bring up your code by first getting the generator running, then add in the driver, monitor, scoreboard.