DPI Error dpi_auto_compile.so /usr/bin/crti.o

Hi,

I got an error simulation the dpi examples.
Always get following error:

QuestaSim vlog 10.1d Compiler 2012.11 Nov 1 2012

– Compiling module top

Top level modules:

top

– Compiling DPI C/C++ file cimports.c

vsim -c top

** Note: (vsim-3812) Design is being optimized…

Loading sv_std.std

Loading work.top(fast)

** Fatal: ** Error: (vsim-3828) Could not link ‘dpi_auto_compile.so’: cmd = '/sw/modeltech/v10.1d/questasim/gcc-4.5.0-linux/bin/gcc -shared -fPIC -m32 -o “/var/tmp/linux_gcc-4.5.0/dpi_auto_compile.so” /home/SysVerilogPythonTest/dpi/dpi_vpi/work/_dpi/auto_compile@/linux_gcc-4.5.0/*.o ’

(vsim-50) A call to system(/sw/modeltech/v10.1d/questasim/gcc-4.5.0-linux/bin/gcc -shared -fPIC -m32 -o “/var/linux_gcc-4.5.0/dpi_auto_compile.so” /home/SysVerilogPythonTest/dpi/dpi_vpi/work/_dpi/auto_compile@/linux_gcc-4.5.0/*.o >/var/tmp/mtitmp.4rak99 2>&1) returned error code ‘1’.

The logfile contains the following messages:

/usr/lib/crti.o: file not recognized: File format not recognized

collect2: ld returned 1 exit status

No such file or directory. (errno = ENOENT)

FATAL ERROR while loading design

Error loading design

/usr/lib/crti.o is existing and functional, but maybe the wrong one.

Thank you for your help!

For all tool specific issues, it is highly recommend that you contact your vendor’s support team. There are several possible causes for what you are seeing that include:

  • Improper O/S installation
  • Unsupported O/S
  • Improper environmental variable settings
  • Improper Questa installation
  • Improper tool usage

Your vendor can help you resolve the issues you are encountering.

In reply to cgales:

I have the same issue … Same error code vsim-3828 . OS is Ubuntu.Unfortunately supportnet doesn’t allow access to my account . Can you pleaaaase tell us a way to solve this ?